Hnub ci hlwb

Hnub ci hlwb tau muab faib ua crystalline silicon thiab amorphous silicon, ntawm cov crystalline silicon hlwb tuaj yeem muab faib ntxiv rau hauv cov hlwb monocrystalline thiab polycrystalline hlwb;Kev ua haujlwm ntawm monocrystalline silicon yog txawv ntawm crystalline silicon.

Kev faib tawm:

Feem ntau siv hnub ci crystalline silicon hlwb hauv Suav teb tuaj yeem muab faib ua:

Ib leeg siv lead ua 125 * 125

Ib leeg siv lead ua 156 * 156

Polycrystalline 156 * 156

Ib leeg siv lead ua 150 * 150

Ib leeg siv lead ua 103 * 103

Polycrystalline 125 * 125

Kev tsim khoom:

Cov txheej txheem ntau lawm ntawm lub hnub ci hlwb tau muab faib ua silicon wafer tshuaj xyuas - nto texturing thiab pickling - diffusion junction - dephosphorization silicon iav - plasma etching thiab pickling - anti-reflection txheej - screen printing - ceev sintering, thiab lwm yam. Cov ntsiab lus yog raws li nram no:

1. Silicon wafer tshuaj xyuas

Silicon wafers yog cov nqa ntawm lub hnub ci hlwb, thiab qhov zoo ntawm silicon wafers ncaj qha txiav txim siab hloov dua siab tshiab ntawm lub hnub ci hlwb.Yog li ntawd, nws yog ib qho tsim nyog los tshuaj xyuas cov khoom siv silicon wafers.Cov txheej txheem no tsuas yog siv rau kev ntsuas hauv online ntawm qee qhov kev tsis sib xws ntawm silicon wafers, cov kev txwv no feem ntau suav nrog wafer deg tsis sib xws, cov neeg nqa khoom tsawg lub neej, kev tiv thaiv, P / N hom thiab microcracks, thiab lwm yam. , silicon wafer hloov chaw, kev sib koom ua ke ib feem thiab plaub qhov kev kuaj xyuas modules.Ntawm lawv, lub photovoltaic silicon wafer detector pom qhov tsis sib xws ntawm qhov chaw ntawm silicon wafer, thiab ib txhij kuaj pom cov tsos mob xws li qhov loj thiab kab pheeb ces kaum ntawm silicon wafer;micro-crack detection module yog siv los xyuas cov micro-cracks sab hauv ntawm silicon wafer;Nyob rau hauv tas li ntawd, muaj ob tug Detection modules, ib tug ntawm cov online xeem modules yog tsuas yog siv los ntsuam xyuas tej resistivity ntawm silicon wafers thiab hom silicon wafers, thiab lwm yam module yog siv los xyuas cov haiv neeg tsawg lub neej ntawm silicon wafers.Ua ntej qhov kev tshawb pom ntawm cov neeg nqa khoom tsawg lub neej thiab kev tiv thaiv, nws yog ib qho tsim nyog yuav tsum xyuas cov kab pheeb ces kaum thiab micro-cracks ntawm silicon wafer, thiab tshem tawm cov silicon wafer puas.Cov khoom siv tshuaj xyuas Silicon wafer tuaj yeem tuaj yeem thauj khoom thiab tshem tawm wafers, thiab tuaj yeem tso cov khoom tsis tsim nyog rau hauv txoj haujlwm ruaj khov, yog li txhim kho kev tshuaj xyuas qhov tseeb thiab ua haujlwm tau zoo.

2. Nto textured

Kev npaj ntawm monocrystalline silicon kev ntxhib los mos yog siv anisotropic etching ntawm silicon los tsim ntau lab tus tetrahedral pyramids, uas yog, pyramid lug, nyob rau saum npoo ntawm txhua square centimeter ntawm silicon.Vim muaj ntau qhov kev xav thiab kev cuam tshuam ntawm qhov teeb meem ntawm lub teeb ntawm qhov chaw, qhov nqus ntawm lub teeb tau nce, thiab qhov luv luv tam sim no thiab kev hloov pauv ntawm lub roj teeb tau txhim kho.Cov tshuaj anisotropic etching ntawm silicon feem ntau yog cov tshuaj kub alkaline.Cov alkalis muaj yog sodium hydroxide, potassium hydroxide, lithium hydroxide thiab ethylenediamine.Feem ntau ntawm cov suede silicon yog npaj los ntawm kev siv ib qho pheej yig dilute tov ntawm sodium hydroxide nrog ib tug concentration ntawm txog 1%, thiab etching kub yog 70-85 ° C.Txhawm rau kom tau txais ib qho suede zoo li qub, cawv xws li ethanol thiab isopropanol yuav tsum tau ntxiv rau cov tshuaj ua cov tshuaj ua kom nrawm nrawm ntawm silicon.Ua ntej suede npaj, silicon wafer yuav tsum raug rau qhov chaw ua ntej etching, thiab txog 20-25 μm yog etched nrog alkaline lossis acidic etching tov.Tom qab cov suede yog etched, cov tshuaj ntxuav tu yog ua.Lub silicon wafers uas tau npaj saum npoo yuav tsum tsis txhob muab cia rau hauv dej kom ntev li ntev tau los tiv thaiv kev sib kis, thiab yuav tsum tau diffused sai li sai tau.

3. Diffusion knot

Lub hnub ci hlwb xav tau thaj chaw loj PN kev sib tshuam kom paub txog kev hloov pauv ntawm lub teeb lub zog rau lub zog hluav taws xob, thiab lub tshuab hluav taws xob diffusion yog cov cuab yeej tshwj xeeb rau kev tsim cov PN kev sib tshuam ntawm lub hnub ci.Lub tubular diffusion rauv yog tsim los ntawm plaub qhov chaw: sab sauv thiab qis ntawm lub nkoj quartz, cov pa roj chamber, qhov cub lub cev thiab cov roj txee.Diffusion feem ntau siv phosphorus oxychloride kua qhov chaw raws li qhov chaw diffusion.Muab P-hom silicon wafer tso rau hauv lub thawv quartz ntawm tubular diffusion rauv, thiab siv nitrogen coj phosphorus oxychloride mus rau hauv lub thawv quartz ntawm qhov kub ntawm 850-900 degrees Celsius.Cov phosphorus oxychloride reacts nrog silicon wafer kom tau phosphorus.atom.Tom qab qee lub sijhawm, phosphorus atoms nkag mus rau txheej txheej ntawm silicon wafer los ntawm txhua qhov chaw, thiab nkag mus rau hauv silicon wafer los ntawm qhov khoob ntawm silicon atoms, tsim qhov sib txuas ntawm N-hom semiconductor thiab P- hom semiconductor, uas yog, PN kev sib tshuam.PN hlws ris ua los ntawm cov qauv no muaj qhov sib xws zoo, qhov tsis sib xws ntawm daim ntawv tsis kam yog tsawg dua 10%, thiab cov neeg nqa khoom tsawg lub neej tuaj yeem muaj ntau dua 10ms.Fabrication ntawm PN hlws ris yog qhov yooj yim tshaj plaws thiab cov txheej txheem tseem ceeb hauv kev tsim cov hnub ci cell.Vim tias nws yog qhov tsim ntawm PN kev sib tshuam, cov khoom siv hluav taws xob thiab qhov tsis rov qab mus rau lawv qhov chaw qub tom qab ntws, kom muaj qhov tam sim no, thiab tam sim no tau kos tawm los ntawm cov hlau, uas yog tam sim no ncaj qha.

4. Dephosphorylation silicate iav

Cov txheej txheem no yog siv rau hauv cov txheej txheem tsim cov hnub ci.Los ntawm cov tshuaj etching, silicon wafer yog immersed nyob rau hauv ib tug hydrofluoric acid tov los tsim cov tshuaj tiv thaiv los tsim ib tug soluble complex compound hexafluorosilicic acid kom tshem tawm cov diffusion system.Ib txheej ntawm phosphosilicate iav tsim rau saum npoo ntawm silicon wafer tom qab kev sib tshuam.Thaum lub sij hawm diffusion txheej txheem, POCL3 reacts nrog O2 los tsim P2O5 uas yog tso rau saum npoo ntawm silicon wafer.P2O5 reacts nrog Si los tsim SiO2 thiab phosphorus atoms, nyob rau hauv txoj kev no, ib txheej ntawm SiO2 uas muaj phosphorus ntsiab yog tsim nyob rau saum npoo ntawm silicon wafer, uas yog hu ua phosphosilicate iav.Cov khoom siv rau kev tshem tawm phosphorous silicate iav feem ntau yog tsim los ntawm lub cev tseem ceeb, lub tank tu, servo tsav system, mechanical arm, hluav taws xob tswj qhov system thiab tsis siv neeg cov kua qaub tis system.Cov khoom siv hluav taws xob tseem ceeb yog hydrofluoric acid, nitrogen, compressed cua, dej ntshiab, cua kub cua thiab dej khib nyiab.Hydrofluoric acid dissolves silica vim hydrofluoric acid reacts nrog silica los tsim volatile silicon tetrafluoride gas.Yog hais tias cov hydrofluoric acid ntau dhau, silicon tetrafluoride uas tsim los ntawm cov tshuaj tiv thaiv yuav ntxiv cov tshuaj hydrofluoric acid los ua ib qho kev sib xyaw ua ke, hexafluorosilicic acid.

1

5. Plasma etching

Txij li thaum lub sij hawm diffusion txheej txheem, txawm tias rov qab-rau-rov qab diffusion yog saws, phosphorus yuav inevitably yuav diffused rau txhua qhov chaw nrog rau cov npoo ntawm silicon wafer.Photogenerated electrons sau nyob rau sab pem hauv ntej ntawm PN hlws ris yuav ntws raws ntug cheeb tsam uas phosphorus yog diffused mus rau sab nraum qab ntawm PN hlws ris, ua rau ib tug luv luv Circuit Court.Yog li ntawd, cov doped silicon nyob ib ncig ntawm lub hnub ci cell yuav tsum tau etched kom tshem tawm PN hlws ris ntawm lub xov tooj ntawm tes.Cov txheej txheem no feem ntau yog ua tiav siv cov txheej txheem plasma etching.Plasma etching yog nyob rau hauv lub xeev tsis tshua muaj siab, cov niam txiv molecules ntawm cov pa roj reactive CF4 zoo siab los ntawm xov tooj cua zaus zog los tsim ionization thiab tsim cov ntshav.Plasma yog tsim los ntawm cov electrons thiab ions.Raws li kev cuam tshuam ntawm electrons, cov pa roj hauv cov tshuaj tiv thaiv tuaj yeem nqus tau lub zog thiab tsim ntau pawg ua haujlwm ntxiv rau kev hloov pauv mus rau ions.Cov active reactive pawg ncav cuag qhov chaw ntawm SiO2 vim diffusion los yog nyob rau hauv qhov kev txiav txim ntawm ib tug hluav taws xob teb, qhov chaw uas lawv reacts chemically nrog rau saum npoo ntawm cov khoom yuav tsum tau etched, thiab tsim volatile tshuaj tiv thaiv cov khoom uas cais tawm ntawm lub nto ntawm cov khoom yuav tsum tau. etched, thiab yog pumped tawm ntawm kab noj hniav los ntawm lub tshuab nqus tsev system.

6. Anti-reflection txheej

Lub reflectivity ntawm polished silicon nto yog 35%.Txhawm rau txo qhov kev xav ntawm qhov chaw thiab txhim kho kev hloov pauv ntawm tes, nws yog ib qho tsim nyog yuav tsum tso ib txheej ntawm silicon nitride los tiv thaiv kev cuam tshuam zaj duab xis.Hauv kev tsim khoom, cov cuab yeej PECVD feem ntau yog siv los npaj cov yeeb yaj kiab los tiv thaiv.PECVD yog plasma txhim kho tshuaj vapor deposition.Nws lub hauv paus ntsiab lus ntawm kev siv cov ntshav plasma uas tsis muaj qhov kub thiab txias, cov qauv tau muab tso rau ntawm lub cathode ntawm lub teeb ci nyob rau hauv qhov tsis tshua muaj siab, lub ci ntsa iab yog siv los ua kom sov cov qauv mus rau qhov ntsuas kub, thiab tom qab ntawd tus nqi tsim nyog. Reactive gases SiH4 thiab NH3 tau qhia.Tom qab cov tshuaj tiv thaiv kab mob thiab cov ntshav plasma, ib zaj duab xis-state, uas yog, silicon nitride zaj duab xis, yog tsim rau ntawm qhov chaw ntawm cov qauv.Feem ntau, lub thickness ntawm cov zaj duab xis tso los ntawm no plasma-enhanced tshuaj vapor deposition txoj kev yog hais txog 70 nm.Cov yeeb yaj kiab ntawm qhov tuab no muaj qhov ua haujlwm kho qhov muag.Siv lub hauv paus ntsiab lus ntawm nyias zaj duab xis cuam tshuam, qhov kev xav ntawm lub teeb tuaj yeem txo qis heev, qhov luv-circuit tam sim no thiab cov zis ntawm lub roj teeb tau nce ntau, thiab qhov ua tau zoo kuj tseem txhim kho.

7. npo luam ntawv

Tom qab lub hnub ci cell tau dhau los ntawm cov txheej txheem ntawm texturing, diffusion thiab PECVD, PN junction tau tsim, uas tuaj yeem tsim tam sim no nyob rau hauv illumination.Txhawm rau xa tawm cov khoom tam sim no, nws yog qhov tsim nyog los ua qhov zoo thiab tsis zoo electrodes ntawm lub roj teeb.Muaj ntau txoj hauv kev los ua cov electrodes, thiab kev tshuaj ntsuam luam ntawv yog cov txheej txheem ntau tshaj plaws rau kev ua lub hnub ci hluav taws xob electrodes.Kev tshuaj ntsuam luam ntawv yog luam tawm cov qauv ua ntej ntawm lub substrate los ntawm embossing.Cov khoom siv muaj peb ntu: nyiaj-txheej txhuas luam ntawv nyob rau sab nraum qab ntawm lub roj teeb, aluminium paste luam ntawv nyob rau sab nraum qab ntawm lub roj teeb, thiab nyiaj-paste luam ntawv nyob rau pem hauv ntej ntawm lub roj teeb.Nws txoj hauv kev ua haujlwm yog: siv lub mesh ntawm lub vijtsam qauv kom nkag mus rau hauv slurry, siv qee qhov siab ntawm qhov slurry ntawm lub vijtsam nrog lub scraper, thiab txav mus rau lwm qhov kawg ntawm lub vijtsam tib lub sijhawm.Tus cwj mem yog nyem los ntawm mesh ntawm cov duab nraaj mus rau lub substrate los ntawm squeegee thaum nws txav mus.Vim yog cov nyhuv viscous ntawm paste, lub imprint yog tsau nyob rau hauv ib tug tej yam ntau yam, thiab lub squeegee yog ib txwm nyob rau hauv linear kev sib cuag nrog lub screen printing phaj thiab lub substrate thaum lub sij hawm luam ntawv, thiab kev sib cuag kab txav nrog lub zog ntawm lub squeegee kom tiav. lub tshuab luam ntawv stroke.

8. ceev sintering

Lub vijtsam luam tawm silicon wafer tsis tuaj yeem siv ncaj qha.Nws yuav tsum tau sintered sai sai nyob rau hauv ib tug sintering rauv kom hlawv tawm cov organic resin binder, tawm yuav luag dawb huv electrodes uas yog zoo adhered rau silicon wafer vim qhov kev txiav txim ntawm iav.Thaum qhov kub ntawm cov nyiaj electrode thiab crystalline silicon ncav cuag qhov kub ntawm eutectic, cov crystalline silicon atoms tau muab tso rau hauv cov khoom siv electrode molten nyob rau hauv ib qho kev faib ua feem, yog li tsim cov ohmic kev sib cuag ntawm lub sab sauv thiab sab electrodes, thiab txhim kho qhov qhib Circuit Court. voltage thiab filling factor ntawm tes.Qhov tseem ceeb parameter yog ua kom nws muaj cov yam ntxwv tsis kam los txhim kho kev hloov pauv ntawm tes.

Lub sintering rauv muab faib ua peb theem: pre-sintering, sintering, thiab txias.Lub hom phiaj ntawm lub pre-sintering theem yog kom decompose thiab hlawv cov polymer binder nyob rau hauv lub slurry, thiab kub nce maj mam nyob rau theem no;Nyob rau hauv lub sintering theem, ntau yam ntawm lub cev thiab tshuaj tiv thaiv yog ua tiav nyob rau hauv lub sintered lub cev los tsim ib tug resistive zaj duab xis qauv, ua rau nws tiag tiag resistive., qhov kub nce mus txog qhov siab tshaj nyob rau theem no;Nyob rau hauv lub txias thiab txias theem, lub iav yog txias, hardened thiab solidified, thiaj li hais tias cov resistive zaj duab xis qauv yog fixedly adhered rau lub substrate.

9. Peripherals

Nyob rau hauv cov txheej txheem ntawm kev tsim cov xovtooj ntawm tes, cov khoom siv peripheral xws li cov khoom siv hluav taws xob, hluav taws xob, kev siv dej, kev tso dej, HVAC, lub tshuab nqus tsev, thiab cov chav tshwj xeeb kuj tseem xav tau.Kev tiv thaiv hluav taws thiab cov cuab yeej tiv thaiv ib puag ncig kuj tseem ceeb tshwj xeeb los xyuas kom muaj kev nyab xeeb thiab kev txhim kho kom ruaj khov.Rau ib lub hnub ci cell ntau lawm kab nrog rau txhua xyoo tso zis ntawm 50MW, lub zog noj ntawm cov txheej txheem thiab cov khoom siv fais fab ib leeg yog txog 1800KW.Tus nqi ntawm cov txheej txheem dej ntshiab yog li 15 tons hauv ib teev, thiab cov dej yuav tsum tau ua raws li EW-1 cov txheej txheem ntawm Tuam Tshoj cov khoom siv hluav taws xob qib dej GB / T11446.1-1997.Tus nqi ntawm cov txheej txheem dej txias kuj yog kwv yees li 15 tons hauv ib teev, qhov loj me hauv cov dej zoo yuav tsum tsis txhob siab tshaj 10 microns, thiab cov dej kub yuav tsum yog 15-20 ° C.Lub tshuab nqus tsev ntim yog li 300M3 / H.Nyob rau tib lub sijhawm, kwv yees li 20 cubic meters ntawm nitrogen cia tso tsheb hlau luam thiab 10 cubic meters ntawm cov pa tso tsheb hlau luam kuj xav tau.Ua rau muaj kev nyab xeeb ntawm cov roj tshwj xeeb xws li silane, nws tseem yuav tsum tau teeb tsa chav roj tshwj xeeb kom muaj kev nyab xeeb ntawm kev tsim khoom.Tsis tas li ntawd, silane combustion towers thiab cov chaw kho dej phwj kuj tseem tsim nyog cov chaw tsim khoom ntawm tes.


Post lub sij hawm: May-30-2022